Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Command reference table

The following table provides a brief description of each ModelSim command. Command details, arguments and examples can be found at the page numbers given in the Command name column.

Command name
Action
clears the Main window transcript
halts the drawing of waves in the Wave window
zooms the Wave window from time zero to the current simulation time
zooms in the Wave window by the specified factor
zooms the Wave window to the setting of the last zoom change
zooms out the Wave window by the specified factor
zooms the Wave to the specified range
halts the execution of a macro file interrupted by a breakpoint or error
adds a user-defined button to the Main window button bar
lists VHDL signals and variables, and Verilog nets and registers, and their values in the List window
add log
also known as the log command. See log
adds a menu to the menu bar of the specified window, using the specified menu name
creates a checkbox within the specified menu of the specified window
creates a menu item within the specified menu of the specified window
adds a separator as the next item in the specified menu path in the specified window
creates a cascading submenu within the specified menu_path of the specified window
adds VHDL signals and variables, and Verilog nets and registers to the Wave window
creates a new Tcl procedure that evaluates the specified commands
returns a 1 if ModelSim is operating in batch mode, otherwise returns a 0
deletes a breakpoint
adds a bookmark to the specified Wave window
deletes bookmarks from the specified Wave window
zooms and scrolls a Wave window using the specified bookmark
displays a list of available bookmarks
sets a breakpoint
changes the ModelSim local directory to the specified directory
modifies the value of a VHDL variable or Verilog register variable
changes the command to be executed for a specified menu item label, in the specified menu, in the specified window
enables contention checking for the specified nodes
writes checking messages to a file
disables contention checking for the specified nodes
enables float checking for the specified nodes
writes checking messages to a file
disables float checking for the specified nodes
disables stability checking
enables stability checking on the entire design
saves the state of your simulation
compares signals in a reference design against signals in a test design
marks a compare difference as "ignore" or tags it with a text message
defines a clock to be used with clocked-mode comparisons
modifies options for compare signals or regions
continues difference computation that had been suspended
deletes a signal or region from the current comparison
closes the currently open comparison
lists the results of the comparison
lists all the compare signal and compare region commands currently in effect
sets defaults for options used in other compare commands
reloads a comparison previously saved with the compare savediffs command
clears the current compare differences
runs the comparison on selected signals
saves comparison differences to a file that can be reloaded later
saves comparison setup information to a file that can be reloaded later
displays a comparison difference in the Wave window
starts a new dataset comparison
halts active difference computation
updates the comparison differences
invokes the List or Wave widget configure command for the current default List or Wave window
clears all coverage data obtained during previous run commands
seeds the coverage statistics with the output of a previous coverage report command
produces a textual output of the coverage statistics that have been gathered up to this point
assigns an additional name to a dataset
clears the current simulation WLF file
closes a dataset
reports information about the specified dataset
lists the open dataset(s)
opens a dataset and references it by a logical name
changes the logical name of an opened dataset
removes HDL items from either the List or Wave window
displays information about the specified HDL item
turns off all existing breakpoints temporarily
disables the specified menu within the specified window
disables the specified menu item within the specified menu_path of the specified window
executes commands contained in a macro file
searches for signal transitions or values in the specified List window
displays in the Main window the current value and scheduled future values for all the drivers of a specified VHDL signal or Verilog net
dumps the contents of the vsim.wlf file in a readable format
displays a specified message in the Main window
invokes the editor specified by the EDITOR environment variable
turns on all breakpoints turned off by the disablebp command
enables a previously-disabled menu
enables a previously-disabled menu item
displays or changes the current dataset and region/signal environment
examines one or more HDL items, and displays current values (or the values at a specified previous time) in the Main window
exits the simulator and the ModelSim application
displays the full pathnames of all HDL items in the design whose names match the name specification you provide
allows you to apply stimulus to VHDL signals and Verilog nets and registers, interactively
gets the time of the active cursor in the Wave window
gets the time of the active marker in the List window
displays in the Main window a brief description and syntax for the specified command
lists the commands executed during the current session
takes one or more Tcl lists as arguments and pretty-prints them to the Main window
searches left (previous) for signal transitions or values in the specified Wave window
creates a wave log format (WLF) file containing simulation data for all HDL items whose names match the provided specifications
takes a Tcl list as argument and shifts it in-place one place to the left, eliminating the 0th element
returns a sublist of the specified Tcl list that matches the specified Tcl glob pattern
controls the speed and delay of macro (DO file) playback, plus the level of debugging feedback
starts the ModelSim GUI without prompting you to load a design; valid only for Windows platforms
continues a search; see "search"
removes the effect of any active force commands on the selected HDL items
suspends writing of data to the WLF file for the specified signals
opens a simple text editor
closes a window in the ModelSim GUI
deactivates selected when commands
specifies command(s) to be executed when running a macro that encounters a breakpoint in the source code
specifies one or more commands to be executed when an error is encountered during elaboration
specifies one or more commands to be executed when a running macro encounters an error
interrupts the execution of a macro
plays a sequence of keyboard and mouse actions, which were previously saved to a file with the record command
specifies the signals or nets to track for power information
writes out the power information for the specified signals or nets
resets power information to zero for the signals or nets specified with the power add command
echoes to the Main window the current names and values of all environment variables
clears any data that has been gathered during previous run commands
selects the frequency with which the profiler collects samples during a run command
discontinues runtime profiling
enables runtime analysis of where your simulation is spending its time
allows various profiling options to be changed
produces a textual output of the profiling statistics that have been gathered up to this point
performs common operations on new projects
changes one or more properties of the specified signal, net or register in the List window
changes one or more properties of the specified signal, net or register in the Wave window
displays the current directory path in the Main window
turns off transcript echoing for the specified command
exits the simulator
specifies the default radix to be used
starts recording a replayable trace of all keyboard and mouse actions
displays the value of all simulator control variables, or the value of any simulator state variables relevant to the current simulation
reloads the design elements and resets the simulation time to zero
restores the state of a simulation that was saved with a checkpoint command during the current invocation of vsim
resumes execution of a macro file after a pause command, or a breakpoint
searches right (next) for signal transitions or values in the specified Wave window
advances the simulation by the specified number of timesteps
searches the specified window for one or more items matching the specified pattern(s)
searches one or more of the currently open logfiles for a specified condition
scrolls the List or Wave window to make the specified time visible
shifts macro parameter values down one place
lists HDL items and subregions visible from the current environment
simstats (CR-187)
reports performance-related statistics about active simulations
operates on a VHDL inout or out port to create a new signal having the same name as the port suffixed with "__o"
lists all currently interrupted macros
steps to the next HDL statement
stops simulation in batch files; used with the when command
displays a stack trace for the current process in the Main window
enables collection of toggle statistics for the specified nodes
displays to the screen a list of all nodes that have not transitioned to both 0 and 1 at least once
resets the toggle counts to zero for the specified nodes
displays a command in the Main window, then executes the command
controls echoing of commands executed in a macro file; also works at top level in batch mode
TreeUpdate (CR-566)
updates all waveforms when you invoke a DO file that was saved via the
File > Save Format (Wave window) command
converts a vector file in Fluence Technology (formerly TSSI) Standard Events Format into a sequence of force and run commands
searches for signal transitions or values in the specified List window
adds the specified items to the VCD file
dumps the current values of all VCD variables to the VCD file
inserts the specified comment in the VCD file
creates a VCD file that captures port driver data
creates a checkpoint in the VCD file that shows the current value of all selected ports
flushes the VCD buffer to the VCD file
specifies the maximum size of the VCD file
turns off VCD dumping and records all dumped port values as x
turns on VCD dumping and records the current value of all selected ports
specifies the filename and state mapping for the VCD file created by a vcd add command
flushes the contents of the VCD file buffer to the VCD file
specifies the maximum size of the VCD file
turns off VCD dumping and records all VCD variable values as x
turns on VCD dumping and records the current values of all VCD variables
translates VCD files into WLF files
compiles VHDL design units
deletes a design unit from a specified library

lists the contents of a design library

writes a Verilog module's equivalent VHDL component declaration to standard output
opens a ModelSim window and brings it to the front of the display
counts the number of currently defined virtuals that were not read in using a macro file
prints the definition of the virtual signal or function in the form of a command that can be used to re-create the object
removes the matching virtuals
prints a complete description of the data type of one or more virtual signals
produces a list of all the non-virtual objects contained in the virtual signal(s)
creates a new signal that consists of logical operations on existing signals and simulation time
sets a flag in the specified real or virtual signals so that the signals do not appear in the Signals window
causes the sim-mode dependent signals of the specified virtual signals to be logged by the kernel
resets the flag set by a virtual hide command
stops the logging of the specified virtual signals
creates a new user-defined design hierarchy region
saves the definitions of virtuals to a file
lists the full path names of all the virtuals explicitly defined
creates a new signal that consists of concatenations of signals and subelements
creates a new enumerated type
creates a design library
compiles Verilog design units
creates a makefile that can be used to reconstruct the specified library
defines a mapping between a logical library name and a directory by modifying the modelsim.ini file
loads a new design into the simulator
returns information about the current vsim executable
specifies an alternative file to use for the current source file
instructs ModelSim to perform actions when the specified conditions are met
displays information about the system environment
translates a ModelSim WLF file(vsim.wlf) to a QuickSim II logfile
records the names and display options in a file of the HDL items currently being displayed in the List or Wave window
records the contents of the most recently opened or specified List window in a list output file
saves the current GUI preference settings to a Tcl preference file
prints a summary of the design being simulated
writes the contents of the Main window transcript to the specified file
records the contents of the default or specified List window in a "TSSI format" file
records the contents of the most currently opened or specified Wave window in PostScript format


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase