Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


vcd dumpportslimit

The vcd dumpportslimit command specifies the maximum size of the VCD file (by default, limited to available disk space). When the size of the file exceeds the limit, a comment is appended to the file and VCD dumping is disabled.

Related Verilog task: $dumpportslimit

Syntax

vcd dumpportslimit

<dumplimit> [<filename>]

Arguments

<dumplimit>

Specifies the maximum VCD file size in bytes. Required.

<filename>

Specifies the name of the VCD file. Optional. If omitted the command is executed on all open VCD files.

See also

See Value Change Dump (VCD) Files chapter for more information on VCD files.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase