Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


vcd limit

The vcd limit command specifies the maximum size of a VCD file (by default, limited to available disk space). When the size of the file exceeds the limit, a comment is appended to the file and VCD dumping is disabled.

Related Verilog tasks: $dumplimit, $fdumplimit

Syntax

vcd limit

<filesize> [<filename>]

Arguments

<filesize>

Specifies the maximum VCD file size in bytes. Required.

<filename>

Specifies the name of the VCD file. Optional. If omitted the command is executed on the file designated by the vcd file command or "dump.vcd" if vcd file was not invoked.

See also

See Value Change Dump (VCD) Files chapter for more information on VCD files. Verilog tasks are documented in the IEEE 1364 standard.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase