Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


vcd on

The vcd on command turns on VCD dumping to the specified file and records the current values of all VCD variables. By default, vcd on is automatically performed at the end of the simulation time that the vcd add commands are performed.

Related Verilog tasks: $dumpon, $fdumpon

Syntax

vcd on

[<filename>]

Arguments

<filename>

Specifies the name of the VCD file. Optional. If omitted the command is executed on the file designated by the vcd file command or "dump.vcd" if vcd file was not invoked.

See also

See Value Change Dump (VCD) Files chapter for more information on VCD files. Verilog system tasks are documented in the IEEE 1364 standard.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase