Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


vdir

The vdir command selectively lists the contents of a design library.

Syntax

vdir

[-help] [-l] [-r] [-lib <library_name>] [<design_unit>]

Arguments

-help

Displays the command's options and arguments. Optional.

-l

Prints the version of vcom or vlog that each design unit was compiled under. Also prints the object-code version number that indicates which versions of vcom/vlog and ModelSim are compatible. This example was printed by vdir -l for the counter module in the work library:

# MODULE counter 
# Verilog Version: OzO;ZAVlR1jO;>KYTg2kY2 
# Source directory: ..\examples\projects\mixed 
# Source modified time: 944001078 
# Source file: ../examples/projects/verilog/counter.v 
# Opcode format: 5.4 Beta 4; VLOG EE Object version 17 
# Version number: e:VQh7zF_VJYN9MbEXUG_3 
# Optimized Verilog design root: 1 
# Language standard: 1 
-r

Prints architecture information for each entity in the output.

-lib <library_name>

Specifies the logical name or the pathname of the library to be listed. Optional; by default, the contents of the work library are listed.

<design_unit>

Indicates the design unit to search for within the specified library. If the design unit is a VHDL entity, its architectures are listed. Optional; by default, all entities, configurations, modules, and packages in the specified library are listed.

Example

vdir -lib design my_asic

Lists the architectures associated with the entity named my_asic that resides in the HDL design library called design.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase