The Quartus® II software offers a variety of megafunctions, including library of parameterized modules (LPM) functions and other parameterized functions. Megafunctions are listed here by function. Functions indicated by an asterisk (*
) are provided for backward compatibility only.
Arithmetic Components | |
altaccumulate |
lpm_abs |
altfp_mult |
lpm_add_sub |
altmemmult |
lpm_compare |
altmult_accum |
lpm_counter |
altmult_add |
lpm_divide |
divide* |
lpm_mult |
Gates | |
busmux |
lpm_inv |
lpm_and |
lpm_mux |
lpm_bustri |
lpm_or |
lpm_clshift |
lpm_xor |
lpm_constant |
mux |
lpm_decode |
|
I/O Components | |
altcdr_rx |
altgxb |
altcdr_tx |
altlvds_rx |
altclklock |
altlvds_tx |
altddio_bidir |
altpll |
altddio_in |
altpll_reconfig |
altddio_out |
altremote_update |
Memory Compiler | |
altcsmem (FIFO partitioner) |
|
Storage Components | |
alt3pram |
lpm_fifo |
altcam |
lpm_fifo_dc |
altdpram* |
lpm_latch |
altqpram |
lpm_ram_dp |
altshift_taps |
lpm_ram_dq |
altsyncram |
lpm_ram_io |
csdpram* |
lpm_rom |
csfifo* |
lpm_shiftreg |
dcfifo* |
lpm_dff* |
scfifo* |
lpm_tff* |
lpm_ff |
|
Altera® also offers a variety of MegaCore®/OpenCore® functions. These functions are available from the Altera web site or from the IP MegaStore in the MegaWizard® Portal extension of the MegaWizard Plug-in Manager (Tools menu).
- PLDWorld - |
|
Created by chm2web html help conversion utility. |