Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


ModelSim VCD commands and VCD tasks

ModelSim VCD commands map to IEEE Std 1364 VCD system tasks and appear in the VCD file along with the results of those commands. The table below maps the VCD commands to their associated tasks.

VCD commands
VCD system tasks
$dumpvars
$dumpall
$dumpfile
$dumpflush
$dumplimit
$dumpoff
$dumpon

ModelSim versions 5.5 and later also support extended VCD (dumpports system tasks). The table below maps the VCD dumpports commands to their associated tasks.

VCD dumpports commands
VCD system tasks
$dumpports
$dumpportsall
$dumpportsflush
$dumpportslimit
$dumpportsoff
$dumpportson

ModelSim versions 5.5 and later support multiple VCD files. This functionality is an extension of the IEEE Std 1364 specification. The tasks behave the same as the IEEE equivalent tasks such as $dumpfile, $dumpvar, etc. The difference is that $fdumpfile can be called multiple times to create more than one VCD file, and the remaining tasks require a filename argument to associate their actions with a specific file.

VCD commands
VCD system tasks
vcd add -file <filename>
$fdumpvars
vcd checkpoint <filename>
$fdumpall
vcd files <filename>
$fdumpfile
vcd flush <filename>
$fdumpflush
vcd limit <filename>
$fdumplimit
vcd off <filename>
$fdumpoff
vcd on <filename>
$fdumpon


Important: Note that two commands (vcd file and vcd files) are available to specify a filename and state mapping for a VCD file. Vcd file allows for only one VCD file and exists for backwards compatibility with ModelSim versions prior to 5.5. Vcd files allows for creation of multiple VCD files and is the preferred command to use in ModelSim versions 5.5 and later.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase