Primitive

BIDIR or INOUT Primitive/Port



AHDL Syntax: io : BIDIR;
VHDL Syntax: io : INOUT
Source: TRI or OPNDRN
Destination: Device I/O pin

If TRI is disabled, external input is allowed. If TRI is enabled and an external signal is applied, signal contention is possible and could damage the device.

In a Block Design File (.bdf), you can use the Pin Properties dialog box to specify pin properties for this primitive, such as the pin name and default value.

NOTE Only the pin assignments of the compilation focus are used during compilation.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.