Primitive

OPNDRN Primitive



AHDL Function Prototype (port name and order also apply to Verilog HDL):

FUNCTION OPNDRN (in)
   RETURNS (out);


VHDL Component Declaration:

COMPONENT OPNDRN
   PORT (a_in : IN STD_LOGIC;
      a_out: OUT STD_LOGIC);
END COMPONENT;

The OPNDRN primitive is similar to a TRI primitive, with a single input and a single output. An OPNDRN primitive fed by a signal called my_signal is equivalent to a TRI primitive whose output enable input is fed by the complement of my_signal, and whose primary input is fed by a GND primitive.

If the input to the OPNDRN primitive is low, the output will be low. If the input is high, the output will be a high-impedance logic level.

When you use an OPNDRN buffer, you must observe the following rules in addition to the rules listed in Primitive/Port Interconnections:


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.