EDA Interfaces

Advanced Verilog Simulation Options Dialog Box



NOTE You open this dialog box by selecting a Verilog HDL simulation tool in the EDA tools list in the EDA Tool Settings page of the Settings dialog box (Assignments menu), and clicking Advanced. This option is only currently available if you select ModelSim (Verilog), ModelSim (Verilog), ModelSim OEM (Verilog output from Quartus II), or ModelSim OEM (Verilog output from Quartus II).

Allows you to specify options for performing a timing simulation of Verilog Output Files (.vo) using the ModelSim software, after compilation with the Quartus II software.

Pointer Click any item in this dialog box for information on that item:

Advanced Verilog Simulation Options OK Cancel

- PLDWorld -

 

Created by chm2web html help conversion utility.