EDA Interfaces

Advanced



Specifies settings for the simulation tool you selected you selected in the EDA Tools list in the EDA Tool Settings page of the Settings dialog box (Assignments menu).

Displays one of the following dialog boxes, depending on which tool you select:

NOTE This option is available only if you specify ModelSim® (VHDL output from Quartus® II), ModelSim (Verilog output from Quartus II), ModelSim OEM (VHDL output from Quartus II), or ModelSim OEM (Verilog output from Quartus II) as the simulation tool.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.