Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Component declaration

A Verilog module that is compiled into a library can be referenced from a VHDL design as though the module is a VHDL entity. The interface to the module can be extracted from the library in the form of a component declaration by running vgencomp. Given a library and module name, vgencomp writes a component declaration to standard output.

The default component port types are:

Optionally, you can choose:


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase