Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


VHDL SmartModel interface

ModelSim VHDL interfaces to a SmartModel through a foreign architecture. The foreign architecture contains a foreign attribute string that associates a specific SmartModel with the architecture. On elaboration of the foreign architecture, the simulator automatically loads the SmartModel library software and establishes communication with the specific SmartModel.

The ModelSim software locates the SmartModel interface software based on entries in the modelsim.ini initialization file. The simulator and the sm_entity tool (for creating foreign architectures) both depend on these entries being set correctly. These entries are found under the [lmc] section of the default modelsim.ini file located in the ModelSim installation directory. The default settings are as follows:

[lmc] 
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software
libsm = $MODEL_TECH/libsm.sl
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
; libsm = $MODEL_TECH/libsm.dll
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
;  Logic Modeling's SmartModel SWIFT software (Linux)
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so 

The libsm entry points to the ModelSim dynamic link library that interfaces the foreign architecture to the SmartModel software. The libswift entry points to the Logic Modeling dynamic link library software that accesses the SmartModels. The simulator automatically loads both the libsm and libswift libraries when it elaborates a SmartModel foreign architecture.

By default, the libsm entry points to the libsm.sl supplied in the ModelSim installation directory indicated by the MODEL_TECH environment variable. ModelSim automatically sets the MODEL_TECH environment variable to the appropriate directory containing the executables and binaries for the current operating system. If you are running the Windows operating system, then you must comment out the default libsm entry (precede the line with the ";" character) and uncomment the libsm entry for the Windows operating system.

Uncomment the appropriate libswift entry for your operating system. The LMC_HOME environment variable must be set to the root of the SmartModel library installation directory. Consult Logic Modeling's SmartModel library documentation for details.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase