Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Compiling VHDL designs

Creating a design library

Before you can compile your design, you must create a library in which to store the compilation results. Use vlib to create a new library. For example:

vlib work 

This creates a library named work. By default, compilation results are stored in the work library.


Note: The work library is actually a subdirectory named work. This subdirectory contains a special file named _info. Do not create libraries using UNIX, MS Windows, or DOS commands - always use the vlib command.

See "Design libraries" for additional information on working with libraries.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase