Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Design libraries


Chapter contents

Design library contents

Design unit information

Design library types

Working with design libraries

Creating a library

Managing library contents

Assigning a logical name to a design library

Moving a library

Specifying the resource libraries

VHDL resource libraries

Predefined libraries

Alternate IEEE libraries supplied

VITAL 2000 library

Rebuilding supplied libraries

Regenerating your design libraries

Verilog resource libraries

Maintaining 32-bit and 64-bit versions in the same library

Importing FPGA libraries

VHDL contains libraries, which are objects that contain compiled design units; libraries are given names so they may be referenced. Verilog designs simulated within ModelSim are compiled into libraries as well.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase