EDA Interfaces

Overview: Using the Conformal LEC Software with the Quartus II Software



You can use the Verplex Conformal LEC software to perform formal verification of a Synplicity Synplify-generated Verilog Quartus® Mapping File (.vqm) and a corresponding VQM File generated by the Quartus® II software.

The following steps describe the typical flow to perform formal verification using the Quartus II software and the Conformal LEC software:

  1. Set Up the Conformal LEC Working Environment

  2. Perform Formal Verification with the Conformal LEC Software


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.