Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Windows 95/98/2000/NT/Me platforms

Under Windows ModelSim loads a 32-bit dynamically linked library for each
PLI/VPI application. The following compile and link steps are used to create the necessary.dll file (and other supporting files) using the Microsoft Visual C/C++ compiler.

cl -c -I<install_dir>\modeltech\include app.c
link -dll -export:<init_function> app.obj \
	<install_dir>\modeltech\win32\mtipli.lib out:app.exe 

For the Verilog PLI, the <init_function> should be "init_usertfs". Alternatively, if there is no init_usertfs function, the <init_function> specified on the command line should be "veriusertfs". For the Verilog VPI, the <init_function> should be "vlog_startup_routines". These requirements ensure that the appropriate symbol is exported, and thus ModelSim can find the symbol when it dynamically loads the DLL.

The PLI and VPI have been tested with DLLs built using Microsoft Visual C/C++ compiler version 4.1 or greater.

The gcc compiler cannot be used to compile PLI/VPI applications under Windows. This is because gcc does not support the Microsoft .lib/.dll format.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase