Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Simulation resolution limit

The simulator internally represents time as a 64-bit integer in units equivalent to the smallest unit of simulation time, also known as the simulation resolution limit. The resolution limit defaults to the smallest time precision found among all of the `timescale compiler directives in the design. The time precision is the second number in the `timescale directive. For example, "10 ps" in the following directive:

`timescale 1 ns / 10 ps 

The time precision should not be unnecessarily small because it will limit the maximum simulation time limit, and it will degrade performance in some cases. If the design contains no `timescale directives, then the resolution limit defaults to the "resolution" value specified in the modelsim.ini file (default is 1 ns). In any case, you can override the default resolution limit by specifying the -t option on the command line.

For example, to explicitly choose 100 fs resolution:

vsim -t 100fs top 

This forces 100 fs resolution even if the design has finer time precision. As a result, time values with finer precision are rounded to the nearest 100 fs.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase