Table of Contents Previous page Next page Index

ModelSim

Model Technology Inc.


mti_GetSignalRegion()

Gets the region in which a VHDL signal is declared.

Syntax

region_id = mti_GetSignalRegion( signal_id ) 

Returns

Name
Type
Description
region_id
mtiRegionIdT
A handle to the region in which the specified signal is declared

Arguments

Name
Type
Description
signal_id
mtiSignalIdT
A handle to a VHDL signal

Description

mti_GetSignalRegion() returns a handle to the region in which the specified VHDL signal is declared.

If the signal is a port that has been collapsed, a handle to the region of the connected upper level signal is returned. The vsim option -nocollapse can be used to disable the optimization of internal port map connections.

Related functions

None

Example

FLI code

#include <mti.h>

void printSignals( mtiRegionIdT region, int indent )
{
  char         * region_name;
  mtiSignalIdT   sigid;

  for ( sigid = mti_FirstSignal( region ); sigid;
        sigid = mti_NextSignal() ) {
         region_name = mti_GetRegionFullName( mti_GetSignalRegion( sigid ));
         mti_PrintFormatted( "%*cSignal %s is declared in region %s\n",
                            indent, ' ', mti_GetSignalName( sigid ),
                            region_name );
         mti_VsimFree( region_name );
        }
}

void printHierarchy( mtiRegionIdT region, int indent )
{
  char *       region_name;
  mtiRegionIdT regid;

  region_name = mti_GetRegionFullName( region );
  mti_PrintFormatted( "%*cRegion %s\n", indent, ' ', region_name );
  mti_VsimFree( region_name );
  indent += 2;
  printSignals( region, indent );
  for ( regid = mti_FirstLowerRegion( region );
        regid; regid = mti_NextRegion( regid ) ) {
    printHierarchy( regid, indent );
  }
}

void loadDoneCB( void * param )
{
  mti_PrintMessage( "\nHierarchy:\n" );
  printHierarchy( mti_GetTopRegion(), 1 );
}

void initForeign(
  mtiRegionIdT       region,   /* The ID of the region in which this     */
                               /* foreign architecture is instantiated.  */
  char              *param,    /* The last part of the string in the     */
                               /* foreign attribute.                     */
  mtiInterfaceListT *generics, /* A list of generics for the foreign model.*/
  mtiInterfaceListT *ports     /* A list of ports for the foreign model.   */
)
{
  mti_AddLoadDoneCB( loadDoneCB, 0 );
} 

HDL code

entity for_model is
end for_model;

architecture a of for_model is
  attribute foreign of a : architecture is "initForeign for_model.sl";
begin
end a;

entity inv is
  generic ( delay : time := 5 ns );
  port ( a : in bit;
         b : out bit
       );
end inv;

architecture b of inv is
  signal count : integer := 0;
begin
  b <= a after delay;

  p1 : process( a )
  begin
    count <= count + 1 after 0 ns;
  end process;
end b;

entity mid is
end mid;

architecture a of mid is

  signal s1 : bit := '0';
  signal s2 : bit := '0';
  signal s3 : bit := '0';
  signal s4 : bit := '0';

  component for_model is
  end component;

  for all : for_model use entity work.for_model(a);

  component inv is
    generic ( delay : time := 5 ns );
    port ( a : in bit;
           b : out bit
         );
  end component;

begin

  flip : inv port map ( s3, s4 );

  i1 : for_model;

  s1 <= not s1 after 5 ns;
  s3 <= not s3 after 5 ns;

  toggle : inv port map ( s1, s2 );

end a;

entity top is
end top;

architecture a of top is
  component mid is
  end component;
begin
  inst1 : mid;
end a; 

Simulation output

% vsim -c top
Reading .../modeltech/sunos5/../tcl/vsim/pref.tcl 

# 5.4b

# vsim -c top 
# Loading .../modeltech/sunos5/../std.standard
# Loading work.top(a)
# Loading work.mid(a)
# Loading work.inv(b)
# Loading work.for_model(a)
# Loading ./for_model.sl
# 
# Hierarchy:
#  Region /top
#    Region /top/inst1
#      Signal s1 is declared in region /top/inst1
#      Signal s2 is declared in region /top/inst1
#      Signal s3 is declared in region /top/inst1
#      Signal s4 is declared in region /top/inst1
#      Region /top/inst1/flip
#        Signal a is declared in region /top/inst1/flip
#        Signal b is declared in region /top/inst1/flip
#        Signal count is declared in region /top/inst1/flip
#      Region /top/inst1/i1
#      Region /top/inst1/toggle
#        Signal a is declared in region /top/inst1/toggle
#        Signal b is declared in region /top/inst1/toggle
#        Signal count is declared in region /top/inst1/toggle
VSIM 1> quit 


Model Technology Inc.
Voice: (503) 641-1340
Fax: (503)526-5410
http://www.model.com
sales@model.com
TOC PREV NEXT INDEX

ModelSim