Scripting

set_input_delay (Tcl Script API Function)



The set_input_delay function has the following format:

Syntax set_input_delay  -clock <clock_name>  <delay_value>  <input_pin_list>

Arguments

-clock <clock_name> Clock to which the specified delay is related. Required.
<delay_value> Input delay value for the specified pin list. Required.
<input_pin_list> List of input pins for which the input delay is to be applied, for example, {pin1 pin2 ...}. Required.

Return Value

Code Name Code String Return
TCL_ERROR 1

Usage: set_input_delay -clock <clock_name> <delay_value> <input_pin_list>

TCL_ERROR 1

Pin list <name> cannot be specified again

TCL_ERROR 1

Missing input delay value

TCL_ERROR 1

Missing -clock option

TCL_ERROR 1

<value> is not a legal input delay value

TCL_ERROR 1

Input delay value already exists

TCL_ERROR 1 Unknown option <name>
TCL_ERROR 1 One or more Quartus® II settings and configuration files are not writable
TCL_ERROR 1 Quartus II settings and configuration files may be corrupted
TCL_ERROR 1 Unknown problem in processing the command
TCL_ERROR 1 Unknown command-line option <name>
TCL_ERROR 1 A Tcl transaction is in progress and must be completed before executing:
TCL_ERROR 1 Project has no active compiler setting
TCL_ERROR 1 No project is currently open
Description Specifies the external input delay of a set of input or bidir pins with respect to the designated clock. The delay applies to both the positive and negative edges of the clock. The specification is internally translated into the equivalent Quartus II software tSU requirements.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.