Scripting

set_false_path (Tcl Script API Function)



The set_false_path function has the following format:

Syntax set_false_path  [-from <from_pin_list>]  [-to <to_pin_list>]

Arguments

-from <from_pin_list> List of start or source points for the timing path, for example, {clk1 clk2 ...}. These can be input pins, internal nodes, or clock pins. You must use either the -from <from_pin_list> or -to <to_pin_list> option. Optional.
-to <to_pin_list> List of end points for the timing path, for example, {clk1 clk2 ...}. These can be output pins, internal nodes, or clock pins. You must use either the
-from <from_pin_list> or -to <to_pin_list> option. Optional.

Return Value

Code Name Code String Return
TCL_ERROR 1

Usage: set_false_path [-from <from_pin_list>] [-to <to_pin_list>]

TCL_ERROR 1

Non-option data cannot be specified for this command

TCL_ERROR 1

Must use at least one of -from and -to options

TCL_ERROR 1

Illegal destination name <name>

TCL_ERROR 1 Unknown option <name>
TCL_ERROR 1 One or more Quartus® II settings and configuration files are not writable
TCL_ERROR 1 Quartus II settings and configuration files may be corrupted
TCL_ERROR 1 Unknown problem in processing the command
TCL_ERROR 1 Unknown command-line option <name>
TCL_ERROR 1 A Tcl transaction is in progress and must be completed before executing:
TCL_ERROR 1 Project has no active compiler setting
TCL_ERROR 1 No project is currently open
Description Specifies that the timing paths that start from the designated <from_pin_list> and end in the designated <to_pin_list> are false paths.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.