Scripting

create_clock (Tcl Script API Function)



The create_clock function has the following format:

Syntax create_clock  -period <period value>  [-name <clock_name>]  
[-waveform <edge_list>]  <clock_pin_list>

Arguments

-period <period_value> Period in nanoseconds. Required.
-name <clock_name> Name of clock. Optional.
-waveform <edge_list> Waveform edge specification, expressed as a list, for example, {0 10}. Limited to two edges only, rising edge and falling edge. Optional.

<clock_pin_list>

List of clock pin names, for example, {clk1 clk2 ...}. Required.

Return Value

Code Name Code String Return
TCL_ERROR 1

Usage: create_clock -period <period_value> [-name <clock_name>] [-waveform <edge_list>] <clock_pin_list>

TCL_ERROR 1

<value> is not a valid period value

TCL_ERROR 1 Number expected after -period option
TCL_ERROR 1 Duplicate -period option
TCL_ERROR 1 Period has to be specified before -waveform option
TCL_ERROR 1 Illegal waveform specification <list> -- only two edges are allowed in waveform specification
TCL_ERROR 1 First waveform edge <value> is greater than second edge <value>
TCL_ERROR 1 One or more waveform edge values are illegal
TCL_ERROR 1 Missing clock pin list
TCL_ERROR 1 Missing -period option
TCL_ERROR 1 Illegal period value <value>
TCL_ERROR 1 Illegal duty cycle value <value>, due to input arguments
TCL_ERROR 1 Illegal offset value <value>
TCL_ERROR 1 Illegal clock name <name>
TCL_ERROR 1 Unknown option <name>
TCL_ERROR 1 One or more Quartus® II settings and configuration files are not writable
TCL_ERROR 1 Quartus II settings and configuration files may be corrupted
TCL_ERROR 1 Unknown problem in processing the command
TCL_ERROR 1 Unknown command-line option <name>
TCL_ERROR 1 A Tcl transaction is in progress and must be completed before executing:
TCL_ERROR 1 Project has no active compiler setting
TCL_ERROR 1 No project is currently open
Description Creates a base clock with the given name and waveform, and applies the clock to the specified clock pin list. If the <clock_name> is not specified, the first pin name in the <clock_pin_list> is taken as the clock name. The clock is always assumed to be propagated, that is, the clock network delay is computed using actual component delays. The Quartus II software supports only propagated clocks.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.