EDA Interfaces

Simulation Tool



Specifies the EDA tool you are using for simulation. If you select a specific a EDA tool, the Compiler selects the default Verilog HDL output settings or VHDL output settings for that tool. You can change the settings in this dialog box, and you can click click Reset to restore settings to the original defaults for your simulation tool. If you have defined customized settings previously, you can select Custom VHDL or Custom Verilog HDL to use those option settings.

- PLDWorld -

 

Created by chm2web html help conversion utility.