EDA Interfaces

Example of Performing a Functional Simulation of a Synplify VHDL Design with a Custom Megafunction Variation with the ModelSim Software



You can perform a functional simulation of the custom megafunction variation you created in Example of Creating a "black box" for a VHDL Custom Variation of a Megafunction with the Synplify Software before compilation in the Synplicity Synplify or the Quartus® II software.

To perform a functional simulation in the ModelTech Modelsim software, you can create a script that performs the following steps:

  1. Compiles the altera_mf.vhd, 220model.vhd, 220pack.vhd libraries.

  2. Compiles the VHDL Design File (.vhd) generated by the MegaWizard® Plug-In Manager with the ModelSim® software. In this example, the file is my_pll.vhd.

  3. Compiles the top-level VHDL Design File with the ModelSim software. In this example, the file name is pll_design.vhd.

  4. Compiles the test bench file. In this example, the test bench file name is plltest.vhd.

You can simulate this sample design in the ModelSim software by using the commands shown in the following sample script:


vlib work 					# Create working directory
vcom /quartus/eda/sim_lib/altera_mf.vhd		# Compile the altera_mf library
vcom /quartus/eda/sim_lib/altera_mf_components.vhd		# Compile the altera_mf_components library
exec vmap altera_mf work			# Create altera_mf library and map it to work
vcom my_pll.vhd					# Compile Megawizard generated file
vcom pll_design.vhd				# Compile source instantiating module
vcom plltest.vhd				# Compile test fixture
vsim -t ps work.plltest(behave)			# Simulate plltest with resolution in ps
add wave /plltest/*				# Add the port signals to the waveform view
add wave /plltest/U0/U0/clock1			# Add the clock1 altclklock signal to the 
						# waveform view
run 1000 ns					# Run the simulation for 1000 ns


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.