EDA Interfaces

Example of Creating a Verilog HDL Custom Variation of the altlvds_rx Function



The following example illustrates how to use the MegaWizard® Plug-In Manager to create a custom megafunction variation of the altlvds_rx function. You can follow similar steps to create custom megafunction variations of other megafunctions. To create a custom megafunction variation for the altlvds_rx function:

  1. Choose MegaWizard Plug-In Manager (Tools menu).

  2. When you are asked Which action do you want to perform?, select Create a new custom megafunction variation and click Next.

  3. When you are asked Which type of output file do you want to create?, select Verilog.

  4. In the Available Megafunctions list, click on the + icon to expand the I/O folder and select ALTLVDS.

  5. When you are asked What name do you want for the file?, type the appropriate file name or click Browse (...) to select the appropriate location. For this example, the path and file name should be C:\lvds\top\lvds_rx_wrapper.v.

  6. Click Next.

  7. To select the receiver or transmitter function and to specify the number of channels and de-serialization factor mode of the LVDS function:

    1. Under This module acts as an, select LVDS receiver.

    2. For this example, select APEX 20KE when you are asked Use which device family?.

    3. When you are asked What is the number of channels?, select 2.

    4. When you are asked What is the deserialization factor?, select 4.

    5. Under Specify the input clock rate by, select clock period and type 15151 for ns.

  8. Click Next.

  9. Turn on Register outputs.

  10. Turn on Use the "rx_deskew" input port.

  11. Click Next.

  12. The Summary page informs you of the files that the MegaWizard Plug-In Manager creates. In this example, it creates the following files:

  13. C:\lvds\top\lvds_rx1wrapper.v
    C:\lvds\top\lvds_rx1wrapper.inc
    C:\lvds\top\lvds_rx1wrapper.cmp
    C:\lvds\top\lvds_rx1wrapper.bsf

    For a sample output of the resulting design file, see Example of a Verilog HDL Custom Megafunction Variation of altlvds_rx.

  14. To close the MegaWizard Plug-In Manager, click Finish.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.