Settings and Configuration Files

EDA_TEST_BENCH_FILE_NAME



Specifies the test bench file name for Test Bench Mode. File type can be a VHDL Test Bench File (.vht), Verilog HDL Test Bench File (.vt), or another design file type.

- PLDWorld -

 

Created by chm2web html help conversion utility.