Introduction

-- Notes Page --


The role of structural VHDL is to describe circuits in terms of sub-components and interconnections. In this figure the simple logic elements are used to design a full adder. A structural description looks at the hardware as a netlist or schematic of the device; the components and interconnects are seen, but the internal function is hidden.

A structural description can tie together components of any complexity. A gate level description or the subunits of a microprocessor can be connected just as readily.