Attributes

-- Notes Page --


Attributes may be used to extract information about many different items in VHDL. Attributes can return various types of information. For example, an attribute can be used to determine the depth of an array, or its range, or its leftmost index, etc. Additionally, the user may define new attributes to cover specific situations. This capability allows user-defined constructs and data types to use attributes. Another example of the use of attributes is in assigning information to a VHDL construct, such as board location, revision number, etc.

A few examples of predefined VHDL attributes are shown above. Note that the apostrophe marking the use of an attribute is pronounced tick (i.e. 'EVENT is pronounced "tick EVENT").