Port Declaration:

Type of Data

-- Notes Page --


Finally, the port must indicate the type of data it will use. Any VHDL-defined standard type or user-defined type may be used in a port declaration. Note that a range specification may be declared if an unconstrained type is used in the type declaration. Some of the data types defined in the VHDL Standard package are listed above.