Port Declaration:

Port Mode

-- Notes Page --


The mode indicates the direction of the flow of data across that port. This flow of data is defined with respect to the component.

The five port modes available:

IN --
data flows in this port and the device can only read from this port
OUT --
data flows out this port and the device can write to this port. Note that until 1076-1993, a component could not read a signal it was itself driving through an OUT port.
BUFFER --
data can flow in either direction and is read/writable. However, only one source at a time can drive a buffer; this requires the ability to disconnect drivers and will be discussed in the 'Behavioral VHDL' module.
INOUT --
data can flow in either direction and is read/writable. Any number of sources are allowed to drive the inout port, but a Bus Resolution Function is then required to determine what values the signal will assume. Again, this issue will be covered in the 'Behavioral VHDL' module.
LINKAGE --
data flow direction is unknown. This mode indicates only that a connection exists.