Generic Map

-- Notes Page --


Generics can be mapped in a fashion similar to ports. Generics are not required in a component but must be specified at instantiation if no default values are given in the instantiated component's ENTITY. In this example, the component and_gate is declared in the package my_stuff, and its generics are tplh and tphl. The values are assigned to the generics at instantiation in the GENERIC MAP. As in PORT MAP signal associations, associations may be made by position or by name.