Guide for Synopsys synthesis tool

 

Setup actions (only for the first use of the tool)

 

 

Invoking the Synopsys invironment

 

 

Figure 1 First window opening the Synopsys design analyzer

 

Synopsys converts the instructions in the dialog box into a sequence of “shell” commands. You can see the command by opening a Command Window (strongly recommended), to do this:

 

The basic steps required to synthesize a HDL design are the following:

 

Reading the input design

 

Execute the following steps to read in your design:

 

 

Figure 2  Analyze File window

 

 

 

Figure 3 Elaborate Design window

 

Navigation in the hierarchy

 

 

 

Figure 4 Schematic view of the counter design

Synthesizing the design

 

·       Bind the clock signal with certain frequency. Select with left mouse click port corresponding to the clock signal (at schematic view of design). Select Attributes => Clock => Specify… Write the clock signal name and specify the period of the clock signal, for example 20 ns. Click apply button, then cancel button. (Figure 5)

 

 

Figure 5 Speciry Clock window

 

·       Optimize the design Tools => Design Optimization… Select “Map Effort” Medium and press OK.

·       Look at the netlist view now.

 

Generating Reports

 

 

Figure 6 Report window

 

Exiting the synthesis tool