library IEEE; entity ELEVATOR is generic (NUM_FLOORS : positive); port (BUTTONS_IN : in bit_vector(1 to NUM_FLOORS); BUTTONS_OUT : in bit_vector(1 to NUM_FLOORS); LIGHT_UP : out bit; LIGHT_DN : out bit; DOORS_OPENED : out bit_vector(1 to NUM_FLOORS); MOTOR : out bit_vector(0 to 1)); end ELEVATOR; architecture MUDEL of ELEVATOR is begin --here goes behavioural model of elevator end MUDEL;