Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


to_time()

to_time converts a real value into a time value with respect to the current simulator resolution. The precision of the converted value is determined by the simulator resolution. For example, if you were converting 5.9 to a time and the simulator resolution was ps, then the time value would be 6 ps.

Syntax

timeval := to_time(realval); 

Returns

Name
Type
Description
timeval
time

The real value represented as a physical type time with respect to the simulator resolution

Arguments

Name
Type
Description
realval
real

The value of the type real

Related functions

get_resolution()

to_real()

Example

If the simulator resolution is set to ps, and you enter the following function:

timeval := to_time(72.49); 

then the value returned to timeval would be 72 ps.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase