Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Using alternative input/output files

You can use the TextIO package to read and write to your own files. To do this, just declare an input or output file of type TEXT.

The VHDL'87 declaration is:

file myinput : TEXT is in "pathname.dat"; 

The VHDL'93 declaration is:

file myinput : TEXT open read_mode is "pathname.dat"; 

Then include the identifier for this file ("myinput" in this example) in the READLINE or WRITELINE procedure call.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase