module inv_mux(out, cnt, a, b); output out; input cnt, a, b; assign out = (cnt) ? ~b : ~a; endmodule // inv_mux module stimulus; reg cnt, a, b; wire out; integer i; inv_mux i_m (out, cnt, a, b); initial begin $display($time, " cnt a b - out"); for (i = 0; i < 8; i = i + 1) begin {cnt, a, b} = i[2:0]; #10 $display ($time, " %b %b %b - %b", cnt, a, b, out); end #10 $finish; end // initial begin endmodule // stimulus