Logical Shift Left by 1
use ieee.std_logic_1164.all;
port ( din : in std_logic_vector(7 downto 0);
dout: out std_logic_vector(7 downto 0)
architecture brute_force of lshift is
dout <= din; -- default case
dout(0) <= '0'; -- shift a zero into LSB
This is one way to do it; surely there is a better way?