4-to-1 mux with 8 bit Datapaths
use ieee.std_logic_1164.all;
port ( a,b,c,d : in std_logic_vector(7 downto 0);
sel: in std_logic_vector (1 downto 0);
dout: out std_logic_vector(7 downto 0)
architecture whenelse of mux4to1_8 is
dout <= b when (sel = "01") else