library ieee; use ieee.std_logic_1164.all; use work.cypress.all; -- 7451 Function needed for SSN lab entity s7451 is port ( a1,b1,c1,d1: in std_logic; a2,b2,c2,d2: in std_logic; y1,y2: out std_logic ); attribute pin_numbers of s7451:entity is " a1:2 b1:3 c1:4 d1:5 a2:6 b2:7 c2:8 d2:9 " & " y1:22 y2:21 "; end s7451; architecture a of s7451 is begin y1 <= not ( (a1 and b1) or (c1 and d1)); y2 <= not ( (a2 and b2) or (c2 and d2)); end a;