7
Second example : RAM plus processor

prepared by P. Bakowski


Introduction

This example is provided to show how to build packages containing necessary types and functions from a high level specification given in C language. The example illustrates how to approach complex algorithms and describe them in VHDL. Finally, we present  how to build a simple system from  the created RAM and processor components (entities).

Contents: specification , C-code, requirements, development, package, package body, RAM component, processor component, system


System "specification"

The system is built from two components:  a RAM memory block and  a simple processor module.
The processor specification (procedural specification) is given through a program written in  C language. Note that this program contains many printing functions (e.g. printf()); these functions allow to trace the program execution and to monitor the content of processor registers.

The processor itself operates in three steps:

  1. instruction fetch
  2. address decode and calculate
  3. execute step
The instruction format looks as follows:

|----|----|-------------------------|

31 ..27...23........................0

C - code of the micro-processor algorithm

#include "pb_1.h" /* this function prints 32-bit binary values */
#define RAM_SIZE 1024
#define NI 32
unsigned ad=0,pc=0,ir=0;
/* ad - address register, pc - program counter, ir - instruction register */
unsigned a=0, x=0, z=0;
/* a - accumulator, x - index register, z - zero flag */
unsigned ram[RAM_SIZE];
/* RAM memory block */
void addr_unit(ad_code)
/* this unit decodes and calculates the addresses */
unsigned ad_code;
{
unsigned ad_ir;
ad_ir=ir; ad_ir<<=8; ad_ir>>=8;
printf("ad_ir :");
pb_1(ad_ir);
switch(ad_code)
{
case 0: ad=ad_ir; printf("ad=ad_ir\n");break;
/* direct addressing */
case 1: ad=x+ad_ir;printf("ad=x+ad\n");break;
/* indexed addressing */
case 3: ad=pc+ad_ir+1;printf("ad=pc+ad+1\n");break;
/* program counter relative addressing */
case 4: ad=pc-ad_ir+1;printf("ad=pc-ad+1\n");break;
/* program counter relative addressing */
default: printf("unrecognized ad_code\n");
}
}
void alu_unit(op_code) /* data xecution unit */
unsigned op_code;
{
printf("op_code:");
pb_1(op_code);
switch(op_code)
{
case 0: a=a+ram[ad]; z=(a?0:1);printf("a=a+ram[ad]\n");break;
case 1: a=a&ram[ad]; z=(a?0:1);printf("a=a&ram[ad]\n");break;
case 2: a=ram[ad]; z=(a?0:1);printf("a=ram[ad]\n");break;
case 3: ram[ad]=a; z=(a?0:1);printf("ram[ad]=a\n");break;
case 4: x=ram[ad]; printf("x=ram[ad]\n");break;
case 5: x++; printf("x++\n");break;
case 6: if(z) { pc=ad;printf("pc=ad\n");}
else { pc++;printf("pc++\n");} break;
case 7: pc=ad; printf("pc=ad\n");break;
default: printf("unrecognized op_code\n");
}
printf("a :"); pb_1(a);
printf("z :"); pb_1(z);
printf("ad :"); pb_1(ad);
printf("ram[ad]:"); pb_1(ram[ad]);
}
fetch() /* first machine cycle */
{
ir=ram[pc];printf("ir=ram[pc]\n");
pc++;printf("pc++\n"); printf("ir :"); pb_1(ir); printf("pc :"); pb_1(pc);
}
decoder()
{
unsigned ad_code;
unsigned op_code;
ad_code=ir; ad_code>>=28;
addr_unit(ad_code); /* second machine cycle */
op_code=ir; op_code<<=4; op_code>>=28;
alu_unit(op_code); /* third machine cycle */
}
main()
{
int i;
ram[0]=0x03000001; ram[1]=0x04000000; ram[2]=0x05000000;
for(i=0;i<NI;i++)
{
fetch();
decoder();
}
}

Modeling requirements

The interconnection of the components requires the introduction of at least three-state logic including a high-impedance state - 'Z' and a bus resolution function. The use of arithmetic operation on bit_vectors with different sizes necessitates the use of a generic arithmetic function.
The presentation of the example starts with a simple TSL package for Three State Logic system developed in order to provide the required types and functions. The TSL package contains the declaration of a set of logic values (0,1,Z) and several data types necessary to construct bus resolution function BUSFUNC .
BUSFUNC displays a warning message if more then one active sources ('0' or '1') are detected.

Development

We start by the development of a  package containing: We use the above package to design a small system with 2 components:

Package STSL:

package STSL is

-- type bit_vector is array (natural range <> ) of bit;

end STSL;

package body STSL is
 

end STSL;


RAM memory entity

RAM block has two inputs: and one input-output : use work.stsl.all;
entity RAM is end RAM;

architecture first of RAM is
begin
process(RW)
-- processus reexecute à chaque modif de RW

end first;
 

Micro-processor entity

use work.stsl.all;
entity micro is
generic (tps : time := 10 ns);
port (abus : buffer MOTB; dbus : inout MOT; RW : out MVL; start : in bit);
-- on utilise buffer pour pouvoir modifier abus dans le programme
end micro;
 

architecture evaluation of micro is
type position is (fetch,decod,exec); -- permet de donner les differentes taches a executer au microprocesseur
signal possuiv : position := fetch;
-- tache suivante
signal a : MOTB :="00000000000000000000000000000000";
signal z : bit :='0';
begin
choixz: process(a) -- ici, on choisit la valeur de z selon valeur de a
begin
if a="00000000000000000000000000000000" then
z<='1';
else
z<='0';
end if;
end process;
processgerer : process -- main process
variable x,pc,ir,tampon : MOTB :="00000000000000000000000000000000";
variable ad_code,op_code : bit_vector(3 downto 0);
variable debut : bit :='1';
type MEM is array(0 to 1023) of MOTB;
variable RAM : MEM;
begin
if debut = '1' then
RAM(0) := "00000011000000000000000000000001";
RAM(1) := "00000100000000000000000000000000";
RAM(2) := "00000101000000000000000000000000";
debut :='0';
else
case possuiv is
when fetch =>
dbus <= "zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz";
abus <= pc; RW <= '1';
wait for tps;
ir := mv2bit(dbus); pc := increment(pc);
when decod =>
RW <= 'z'; ad_code := ir(31 downto 28);
-- on separe les codes
op_code := ir(27 downto 24); -- adressage des operations
tampon(23 downto 0) := ir(23 downto 0);
case ad_code is
when "0000" =>
abus <= tampon;
when "0001" =>
abus <= add2(x,tampon);
when "0011" =>
abus <= add2(tampon, add2(pc,"00000000000000000000000000000001"));
when "0100" =>
abus <= sou2(tampon, add2(PC,"00000000000000000000000000000001"));
when others => assert false
      report "adressage non reconnue"
      severity warning;
end case;
when exec =>
case op_code is
when "0000" => RW <= '1';wait for tps; a <= add2(a,mv2bit(dbus));
when "0001" => RW <= '1';wait for tps; a <= et(a,mv2bit(dbus));
when "0010" => RW <= '1';wait for tps; a <= mv2bit(dbus);
when "0011" => RW <= '0';wait for tps;
when "0100" => RW <= '1';wait for tps; x := mv2bit(dbus);
when "0101" => x := increment(x);
when "0110" => if z='1' then pc :=abus; else pc := increment(pc); end if;
when "0111" => pc := abus;
when others => assert false
      report "operation non reconnue"
      severity warning;
end case;
end case;
if possuiv = exec then
possuiv <= fetch;
else
possuiv <= position'succ(possuiv);
end if;
end if;
wait for tps;
end process;
end evaluation;

System entity called start with one input signal: top

 
use work.stsl.all;
entity start is
port(top : in bit);
end start;
architecture structure of start is
component RAM
port(abus : in MOTB; dbus : inout MOT; RW : in MVL);
end component;
component Micro
port(abus : buffer MOTB; dbus : inout MOT; RW : out MVL; start : in bit);
end component;
signal adr : MOTB;
signal data : MOT := "zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz";
signal EL : MVL;
begin
RamBus : RAM port map (abus=>adr,dbus=>data,RW=>EL);
MicroBus : Micro port map (abus=>adr,dbus=>data,RW=>EL,start=>top);
end structure;