-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: combinatorial | -- | designer : Tim Pagden | -- | opened: 6 Jun 1995 | -- +-----------------------------+ -- Architectures: -- 06.06.95 original architecture original of xor_2 is begin y <= a(1) xor a(0); end original;