-- +-----------------------------+ -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 30 Jul 1996 | -- +-----------------------------+ -- Components: -- 30.07.96 scaler_4s_22s_negate_out library ieee; package scaler_4s_22s_negate_out_cmpt is use ieee.std_logic_1164.all; component scaler_4s_22s_negate_out port ( a : in std_logic_vector(21 downto 0); b : in std_logic_vector(3 downto 0); negate : out std_logic; y : out std_logic_vector(24 downto 0) ); end component; end scaler_4s_22s_negate_out_cmpt;