-- +-----------------------------+ -- | Copyright 1996 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 30 Jul 1996 | -- +-----------------------------+ -- Configurations: -- 30.07.96 scaler_4s_22s_negate_out_low library arithmetic; configuration scaler_4s_22s_negate_out_low of scaler_4s_22s_negate_out is for low_level end for; end scaler_4s_22s_negate_out_low;