-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: multiplexer | -- | designer : Tim Pagden | -- | opened: 18 May 1995 | -- +-----------------------------+ -- Architectures: -- 18.05.95 behaviour -- behaviour architecture behaviour of mux_3_25 is constant WORDLENGTH : integer := 25; begin mux_a_to_y: process (a, sel) begin if sel(1) = '1' then y <= a(3*WORDLENGTH-1 downto 2*WORDLENGTH); elsif sel(0) = '1' then y <= a(2*WORDLENGTH-1 downto WORDLENGTH); elsif sel(0) = '0' then y <= a(WORDLENGTH-1 downto 0); else y <= (others => 'X'); end if; end process; end behaviour;