-- +-----------------------------+ -- | Copyright 1996 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 28 Jul 1996 | -- +-----------------------------+ -- Architectures: -- 28.07.96 low_level library arithmetic; architecture low_level of cla_25 is use arithmetic.cla_5_cmpt.all; -- signal c0 : std_logic_vector(21 downto 0); signal p0, g0, c1 : std_logic_vector(4 downto 0); begin -- 0th rank, a set of 5 cla cells, 5-5-4-4-4 format i0_0: cla_5 port map ( c_in => c_in, p => p(4 downto 0), g => g(4 downto 0), c => c(4 downto 0), p_out => p0(0), g_out => g0(0) ); i0_1: cla_5 port map ( c_in => c1(0), p => p(9 downto 5), g => g(9 downto 5), c => c(9 downto 5), p_out => p0(1), g_out => g0(1) ); i0_2: cla_5 port map ( c_in => c1(1), p => p(14 downto 10), g => g(14 downto 10), c => c(14 downto 10), p_out => p0(2), g_out => g0(2) ); i0_3: cla_5 port map ( c_in => c1(2), p => p(19 downto 15), g => g(19 downto 15), c => c(19 downto 15), p_out => p0(3), g_out => g0(3) ); i0_4: cla_5 port map ( c_in => c1(3), p => p(24 downto 20), g => g(24 downto 20), c => c(24 downto 20), p_out => p0(4), g_out => g0(4) ); -- 1st rank, a single cla cell i1_0: cla_5 port map ( c_in => c_in, p => p0, g => g0, c => c1, p_out => p_out, g_out => g_out ); end low_level;