-- +-----------------------------+ -- | Copyright 1996 DOULOS | -- | Library: combinatorial | -- | designer : Tim Pagden | -- | opened: 6 Jun 1995 | -- +-----------------------------+ -- Architectures: -- 06.06.95 simple library IEEE; architecture simple of and_2 is use IEEE.std_logic_1164.all; begin y <= a(1) and a(0); end simple;