-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: combinatorial | -- | designer : Tim Pagden | -- | opened: 6 Jun 1995 | -- +-----------------------------+ -- Components: -- 06.06.95 xor_2 library IEEE; package xor_2_cmpt is use IEEE.std_logic_1164.all; component xor_2 port ( a : in std_logic_vector(1 downto 0); y : out std_logic ); end component; end xor_2_cmpt;