-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: multiplexer | -- | designer : Tim Pagden | -- | opened: 15 May 1995 | -- +-----------------------------+ -- Function: 25-bit 3-to-1 multiplexer library ieee; use ieee.std_logic_1164.all; entity mux_3_25 is port ( a : in std_logic_vector(74 downto 0); sel : in std_logic_vector(1 downto 0); y : out std_logic_vector(24 downto 0) ); end mux_3_25;