-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: multiplexer | -- | designer : Tim Pagden | -- | opened: 15 May 1995 | -- +-----------------------------+ -- Components: -- 15.05.95 mux_3_25 library ieee; package mux_3_25_cmpt is use ieee.std_logic_1164.all; component mux_3_25 port ( a : in std_logic_vector(74 downto 0); sel : in std_logic_vector(1 downto 0); y : out std_logic_vector(24 downto 0) ); end component; end mux_3_25_cmpt;