-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 17 Aug 1995 | -- +-----------------------------+ -- Function: 5-bit carry-lookahead block library ieee; use ieee.std_logic_1164.all; entity cla_5 is port ( c_in : in std_logic; p : in std_logic_vector(4 downto 0); g : in std_logic_vector(4 downto 0); c : out std_logic_vector(4 downto 0); p_out : out std_logic; g_out : out std_logic ); end cla_5;